Class: Rouge::Lexers::VHDL

Inherits:
RegexLexer show all
Defined in:
lib/rouge/lexers/vhdl.rb

Constant Summary

Constants inherited from RegexLexer

RegexLexer::MAX_NULL_SCANS

Constants included from Token::Tokens

Token::Tokens::Num, Token::Tokens::Str

Class Method Summary collapse

Methods inherited from RegexLexer

append, #delegate, get_state, #get_state, #goto, #group, #groups, #in_state?, #pop!, prepend, #push, #recurse, replace_state, #reset!, #reset_stack, #stack, start, start_procs, #state, state, #state?, state_definitions, states, #step, #stream_tokens, #token

Methods inherited from Rouge::Lexer

aliases, all, analyze_text, assert_utf8!, #debug, default_options, demo, demo_file, desc, filenames, find, find_fancy, guess, guess_by_filename, guess_by_mimetype, guess_by_source, guesses, #initialize, lex, #lex, mimetypes, #option, #options, #reset!, #stream_tokens, tag, #tag, title

Methods included from Token::Tokens

token

Constructor Details

This class inherits a constructor from Rouge::Lexer

Class Method Details

.keywordsObject



13
14
15
16
17
18
19
20
21
22
23
24
# File 'lib/rouge/lexers/vhdl.rb', line 13

def self.keywords
  @keywords ||= Set.new %w(
  access after alias all architecture array assert assume assume_guarantee attribute
  begin block body buffer bus case component configuration constant context cover
  default disconnect downto else elsif end entity exit fairness file for force function
  generate generic group guarded if impure in inertial inout is label library linkage
  literal loop map new next null of on open others out package parameter port postponed
  procedure process property protected pure range record register reject release report
  return select sequence severity shared signal strong subtype then to transport type
  unaffected units until use variable vmode vprop vunit wait when while with
  )
end

.keywords_typeObject



26
27
28
29
30
31
32
# File 'lib/rouge/lexers/vhdl.rb', line 26

def self.keywords_type
  @keywords_type ||= Set.new %w(
  bit bit_vector boolean boolean_vector character integer integer_vector natural positive
  real real_vector severity_level signed std_logic std_logic_vector std_ulogic
  std_ulogic_vector string unsigned time time__vector
  )
end

.operator_wordsObject



34
35
36
37
38
# File 'lib/rouge/lexers/vhdl.rb', line 34

def self.operator_words
  @operator_words ||= Set.new %w(
  abs and mod nand nor not or rem rol ror sla sll sra srl xnor xor
  )
end