Module: Stupidedi::Versions::Interchanges::FourHundred::SegmentDefs

Defined in:
lib/stupidedi/versions/interchanges/00400/segment_defs.rb,
lib/stupidedi/versions/interchanges/00400/segment_defs/IEA.rb,
lib/stupidedi/versions/interchanges/00400/segment_defs/ISA.rb,
lib/stupidedi/versions/interchanges/00400/segment_defs/TA1.rb

Overview

Constant Summary collapse

IEA =
s::SegmentDef.build(:IEA, "Interchange Control Trailer",
"To define the end of an interchange of zero or more functional groups and interchange-related control segments",
e::I16.simple_use(r::Mandatory, s::RepeatCount.bounded(1)),
e::I12.simple_use(r::Mandatory, s::RepeatCount.bounded(1)))
ISA =
s::SegmentDef.build(:ISA, "Interchange Control Header",
"To start and identify an interchange of zero or more functional groups and interchange-related control segments",
e::I01.simple_use(r::Mandatory, s::RepeatCount.bounded(1)),
e::I02.simple_use(r::Optional,  s::RepeatCount.bounded(1)),
e::I03.simple_use(r::Mandatory, s::RepeatCount.bounded(1)),
e::I04.simple_use(r::Optional,  s::RepeatCount.bounded(1)),
e::I05.simple_use(r::Mandatory, s::RepeatCount.bounded(1)),
e::I06.simple_use(r::Mandatory, s::RepeatCount.bounded(1)),
e::I05.simple_use(r::Mandatory, s::RepeatCount.bounded(1)),
e::I07.simple_use(r::Mandatory, s::RepeatCount.bounded(1)),
e::I08.simple_use(r::Mandatory, s::RepeatCount.bounded(1)),
e::I09.simple_use(r::Mandatory, s::RepeatCount.bounded(1)),
e::I10.simple_use(r::Mandatory, s::RepeatCount.bounded(1)),
e::I11.simple_use(r::Mandatory, s::RepeatCount.bounded(1)),
e::I12.simple_use(r::Mandatory, s::RepeatCount.bounded(1)),
e::I13.simple_use(r::Mandatory, s::RepeatCount.bounded(1)),
e::I14.simple_use(r::Mandatory, s::RepeatCount.bounded(1)),
e::I15.simple_use(r::Mandatory, s::RepeatCount.bounded(1)))
TA1 =
s::SegmentDef.build(:TA1, "Interchange Acknowledgement",
"To report the status of processing a received interchange header and trailer or the non-delivery by a network provider",
e::I12.simple_use(r::Mandatory, s::RepeatCount.bounded(1)),
e::I08.simple_use(r::Mandatory, s::RepeatCount.bounded(1)),
e::I09.simple_use(r::Mandatory, s::RepeatCount.bounded(1)),
e::I17.simple_use(r::Mandatory, s::RepeatCount.bounded(1)),
e::I18.simple_use(r::Mandatory, s::RepeatCount.bounded(1)))